• news.cision.com/
  • AlixLabs AB/
  • Vinnova supports AlixLabs AB for feasibility study within the new electronic components and systems program in areas of Swedish excellence.

Vinnova supports AlixLabs AB for feasibility study within the new electronic components and systems program in areas of Swedish excellence.

Report this content

AlixLabs from Lund, Sweden, has been rewarded financial support from Vinnova, Sweden’s innovation agency, for further development of the company´s proprietary semiconductor patterning technology. Vinnova helps to build Sweden’s innovation capacity contributing to sustainable growth. AlixLabs has developed a new, innovative method for manufacturing semiconductor components with a high degree of packing, eliminating several steps in the semiconductor manufacturing process - Atomic Layer Etch Pitch Splitting (APS)*. The method makes the components cheaper and less resource-intensive to manufacture and can open a new path for a more sustainable mass production of electronic products. The method also makes it possible to manufacture tiny semiconductor components accurately and efficiently with manageable wafer fab equipment investments.

The project was rewarded 500,000 SEK within the call for Electronic Components and Systems: Feasibility studies 2022. This call was aimed at feasibility studies for major research and innovation projects that are expected to result in new electronic components and systems in areas of Swedish excellence.

Dr. Amin Karimi, R&D and Operational Manager and co-founder, said, “In the ‘In-situ mask creation for fabrication of state-of-the-art nanoelectronics’ project, we aim to evaluate our APS-process for selective creation of an in-situ mask for devices with critical dimensions below 10 nm. The process takes place in one single wafer etch process chamber without breaking the vacuum. We aim at a disruptive breakthrough in semiconductor nanofabrication technology as etch mask creation and manipulation in high volume manufacturing is a very complicated process with dedicated process tools and equipment at a high total expense.”

Team AlixLabs at the scanning electron microscope at Lund Nano Lab. Left to right: Dr. Amin Karimi, Dr. Reza Jafari Jam, and Dr. Dmitry Suyatin (standing).

The APS method is covered by core IP in the USA, Taiwan, and Europe. Dr. Dmitry Suyatin, CTO and co-founder of AlixLabs, stated, “In APS, the sidewalls of nanoscale features act as a topological mask in combination with Atomic Layer Etch Processes and can be used to initiate splitting of the nanostructures. Previously we have verified the process for nanowires for such different materials as gallium phosphide (GaP) and Silicon (Si), and now we have the evidence also for bulk silicon by creating features with sizes below 10 nm.”

The APS method is complementary for single exposure Immersion Deep UV (DUV) and Extreme UV (EUV) Lithography and corresponding multiple patterning technologies like self-aligned double and quadruple patterning (SADP resp. SAQP) as well as multiple exposure lithography-etch and directed self-assembly (DSA) patterning. However, APS can considerably reduce the complexity, capital expenditure, and environmental footprint of wafer manufacturing. Demonstrating pitch splitting in bulk silicon by creating sub-10 nm structures has been a significant milestone for AlixLabs.

* Atomic Layer Etch Pitch Splitting (APS). As previously reported (April 30, 2021), The US Patent Office has approved AlixLabs’s patent application for nanofabrication by ALE Pitch Splitting (APS). The US Patent Office issued a patent (US10930515) on February 23, 2021, and recently the second patent (US11424130) on August 23, 2022. Additional patents in Taiwan and Europe are secured as well. The patent family covers methods to split nanostructures in half by a single process step using Atomic Layer Etching (ALE). The method can significantly impact the semiconductor industry by enabling sustainable scaling of electronic components and shrinking critical chip components further in a cost-effective way. The method is complementary for single exposure Immersion and Extreme UV (EUV) Lithography and corresponding multiple patterning technologies like self-aligned double and quadruple patterning (SADP resp. SAQP) as well as multiple exposure lithography-etch and directed self-assembly (DSA) patterning.

Jonas Sundqvist, CEO of AlixLabs, phone +46 767 63 94 67, email jonas@alixlabs.com. 

AlixLabs (www.alixlab.com) is an innovative startup founded in 2019 in Lund, Sweden, enabling the semiconductor industry to scale down Logic and Memory components in a cost-effective manner using ALE Pitch Splitting (APS).

Tags:

Subscribe

Media

Media